h1_key

当前位置:首页 >新闻资讯 > 技术文章>赛灵思>Zynq 及 Vitis HLS 声音合成和声学控制的低时延技术
Zynq 及 Vitis HLS 声音合成和声学控制的低时延技术
2023-04-20 309次

  从音频输入到输出,现有的实时数字音频系统很难实现低于 1ms 的时延。实际上,200µs 是到目前为止可实现的最佳时延。INSA(法国)的 Emeraude 研究团队正在开发 Syfala 编译器,该编译器结合了 Faust (一种用于实时音频 DSP 的领域特定语言)和 AMD Vitis™ HLS,使其为音频 DSP 用户提供非常高级的音频合成工具。

  Emeraude 采用基于 AMD Zynq™ 的FPGA 板卡、低时延音频编解码器和 Syfala 编译器,在众多简单的 DSP 程序上实现了 11µs 的低时延。这项新技术适用于声音合成和主动声学控制。

  

 

  实时音频数字信号处理(DSP)已经在广泛的计算机架构上实现:采用冯・诺依曼架构的 CPU、多核 CPU、GPU、专用电路、FPGA 等。然而,实现超低时延(即小于 1ms)的唯一方法是使用专用电路,如 ASIC 或 FPGA。

  尽管如此,对于 DSP 程序员而言,使用 FPGA 几乎是不可能的事情,因为他们没有掌握硬件设计技能。

  因此,该项目的主要挑战在于设计出一款工具,允许音频 DSP 程序员以极低时延(即小于 100µs)在 FPGA 上实现任意音频 DSP 算法。

  解决方案

  采用的解决方案是将音频 DSP 语言编译器(即 Faust)的输出与 HLS 工具 Vitis HLS连接起来。Faust 编译器不仅可处理软硬件分区,而且还可隔离将在 FPGA 上实施的内核 DSP 算法,如图 1 所示。

  

 

1:从 Faust 程序到 FPGA 的 Syfala 编译流程

 

  Vitis HLS 用于实现该内核 DSP 的硬件,允许访问外部 DDR 内存以及使用 ARM Zynq 处理系统驱动程序的硬件控制器。

  

 

2:DSP 硬件控制在 Digilent Zybo 板上实现

 

  INSA-LYON 的研究团队主管 Tanguy Risset 表示:“Vitis HLS 允许我们直接重复使用 Faust 编译器生成的 C++ 代码,因此从 Faust 高级规范直至 FPGA 比特流都无需经历手动设计流程。此外,Vitis HLS 与 AMD 的设计流程能够很好地集成在一起,方便我们进行硬件/软件的协同设计。”

 

  设计成效

  最终成效就是获得一个新的编译流程,可将任意音频 DSP 程序自动编译到基于 AMD Zynq 7000 的 FPGA 板卡(如 Digilent Zybo 或 Genesys)上。这样一来,从模拟输入到模拟输出,所实现的程序的时延可低至 11µs。如此短的时延是前所未有的。该编译器是开源的,目前用于实现主动声学控制算法和 3D 音频编解码流程。

  Risset 补充道:“Vitis HLS 使我们能够精确控制 IP 的时延。特别是 Vitis HLS 能够生成一个有一个样本延迟时延的 IP。这对于实现我们在模拟与模拟之间实现的时延极为重要。”

  Risset 表示,Vitis HLS IP 的调度视图有助于我们了解 Vitis HLS 是如何引导并行化,以及内存访问是如何对 IP 时延产生影响的。他补充道:“这促使我们在 Faust 生成的 C++ 代码中对内存访问进行优化,这是实现低时延的必要步骤。”

 

  • AMD推出符合DisplayPort™ 2.1 8K视频标准的FPGA和自适应 SoC
  • 全新 AMD DisplayPort 2.1 子系统支持 AMD UltraScale+ FPGA 和 Versal 架构接收、处理和传输 8K(7680 x 4320 像素)超高清( UHD )视频。专业音视频和广播设备制造商可以轻松集成和升级其设备,例如摄像机、专业显示器、LED 视频墙、投影仪、KVM 和广播基础设施,从而处理 8K 超高清视频。
    2024-01-24 130次
  • Versal 自适应 SoC让 Combo PON方案高性能、易于使用
  • 通过提供 Combo PON 技术(由 AMD 提供支持),Adtran 更容易做出这一决定。Combo PON 可在单个解决方案中支持两种类型的网络,从而帮助服务提供商改变社区服务不足的现状。
    2023-05-11 314次
  • Zynq 及 Vitis HLS 声音合成和声学控制的低时延技术
  • Emeraude 采用基于 AMD Zynq™ 的FPGA 板卡、低时延音频编解码器和 Syfala 编译器,在众多简单的 DSP 程序上实现了 11µs 的低时延。这项新技术适用于声音合成和主动声学控制。
    2023-04-20 310次
  • 看懂赛灵思FPGA设计流程
  • XILINXISE传统赛灵思FPGA设计流程 利用Xilinx ISE软件开发FPGA的基本流程包括代码输入、功能仿真、综合、综合后仿真、实现、布线后仿真与验证和下班调试等步骤。
    2022-12-12 1001次
  • Zynq® UltraScale+™ MPSoC平台为爱信Aisin自动泊车辅助APA系统提供支持
  • AMD 赛灵思车规级(XA)Zynq® UltraScale+™ MPSoC平台为爱信Aisin自动泊车辅助APA系统提供支持。车规级 Zynq UltraScale+ MPSoC 平台高度灵活应变。
    2022-11-21 684次

    万联芯微信公众号

    元器件现货+BOM配单+PCBA制造平台
    关注公众号,优惠活动早知道!
    10s
    温馨提示:
    订单商品问题请移至我的售后服务提交售后申请,其他需投诉问题可移至我的投诉提交,我们将在第一时间给您答复
    返回顶部